Key Words Index NOTE - This index is intended to be used in concert with the Table of Contents, which provides general guidance as to where various topics are presented. Entries in this index identify only locations where there is significant discussion of the indexed term. Because the vast majority of the papers in the volume relate to silicon or metrology, these terms are not listed in the index except under special circumstances. Page numbers following the term are the numbers of the first page of the article in which the term appears. 300 mm metrology 485, 656, 490 3D full-wave electromagnetic simulation 254 A aberration correction 627 aberrations 619 accuracy 348 acid copper chloride 514 acid diffusion 429 ACT 469 adhesion 455 advanced materials 611 advanced process control 583 AES 176 Al 40, 353 Al2O3 723, 148 ALD 455 alloy junction 40 alloy transistor 3 ammonia/amines 245 angle resolved XPS 154 annealing 176 AOTF 357 APC 592 atomic force microscopy (AFM) 176, 400, 562, 421, 519 Au 40 automated metrology 592 B back-end metrology 651 backward wave oscillator 190 barrier 660 bevel 309 bevel depth profile 710 beyond CMOS scaling 74 bipolar transistor 672 bleed and feed 504 blocking plate 357 breakdown products 504 buried defects 343 C 678, 685, 223, calibration 421, 313 capacitance 771, 160 carbon nanotubes 462 carrier illumination 758 carrier profiling 678, 685, 758 CD reference materials 421 CD-SEM 619 chamber cleaning 190, 611 characterization 353, 223 charging 619, 782, 396 chemical analysis 764 chemical constituent analyzer 504 chemical shift 139 chemically amplified resists 429, 448 cleaning 271, 186 CMOS 74, 223 CMOS scaling 74 collision-induced dissociation 205 combinatorial methods 448 composition profile 129 contamination control 309 contamination measurement 289 contrast mechanism 480 corona 782, 160 critical dimension (CD) 81, 381, 97, 396, 434 crystal originated pit (COP) 271 crystal phases 519 Cu 353, 494, 499 Cu contamination 309 Cu interconnects 533, 480, 519 Cu microstructure 485 Cu plating 504 Cu process control 592 C-V (see also “dynamic CV technique”) CVD 611 796, 160 D damascene 494, 499 defect 371, 771, 254 defect identification 284 defect localization 462 degradation 551 delamination 343 density 129 depth profile 710, 154, 802, 166 diagnostic 190, 744 dielectric 551 dielectric confinement effects 533 dielectric film 166, 556 dielectric functions 181 dielectric properties 166 differential mobility analyzer (DMA) 313 diffraction limited imaging 254 diffused junction 40 diffused transistor 40, 3 diffusion length 782 digital holography 254 dispersive profilometer 357 doping measurement 802 dose 154 ductility 353 DUV 254 DUV photolithography 294 dynamic CV technique (see also “C-V”) 802 dynamic SIMS depth profiling (see also “secondary ion mass spectrometry, SIMS”) 695, 705 E EBSD 469, 494, 499 edge exclusion 309 effective attenuation length 321 effective oxide thickness (EOT) 782, 796, 160 electrical measurements 771, 195 electrochemical deposition (ECD) 504 electromigration 533, 480 electron energy loss spectroscopy 627 electron probe microanalysis 238 electrospray 300 electrospray ion-trap time of flight mass spectrometry 504 electrostatic force microscopy 462 ellipsometry 753, 326, 186, 337, 562 EM-gate 796 EPD 223 EPL 389 EPMA 238 etch processes 611 etch residual 294 etch stop 190 etching 195, 166 extinction coefficient 171 extreme ultraviolet (EUV) 371, 444 extreme ultraviolet lithography (EUVL) 389, 444 F failure detection 462 fiber optic 764 film thickness 81, 321, 326 FinFET 74, 107 flatband shift 667 flatness 371, 413 fluorescence 660 Fourier transform 764, 205 front-end metrology 284 FTIR 611 G Ga diffusion 40 gaseous cluster ion beam 710 gas-phase analysis 611 gate dielectric 627, 771, 348, 160, 143, 331 gate oxide 627 grazing incidence X-ray reflectivity 337 grown-junction transistor 3 H HAADF-STEM 556 hafnium aluminates 181 hafnium silicate 321 haze 271 heterogeneity 238 HF 606 HfAlOx 148 HfO2 148, 321, 176 high angle annular dark field 627 high aspect ratio 254 high resolution X-ray diffraction (see also “X-ray diffraction, XRD”) 634 high resolution X-ray scattering (see also “X-ray scattering”) 634 high-κ dielectrics 74, 124, 129, 723, 139, 143, 148, 154, 321, 160, 166, 181, 186 high-density 195 high-pressure sampling 205 homogeneity HSSQ 540 linewidth 421 liquid chromatography 514 liquid-phase epitaxy (LPE) 40 lithography 63, 365, 97, 413 low thermal expansion material (LTEM) 371 low-κ dielectrics (see also “porous low-κ”) 81, 533, 723, 540, 546, 551, 562, 567, 651, 572, 576, 519 238 I ICP-MS 606, 715 ILD 455 image processing 556 impurity diffusion 40 inductively coupled plasma 715 infrared 764, 540 inlaid copper interconnects 469 in-line 300 in-process mass spectrometry (IPMS) in-situ measurements 705 in-situ optical diagnostics 748 in-situ sensing 583 in-situ studies 480 inspection 389 integrated circuit 3 integrated metrology 592 interconnect 97, 562, 494, 499, 514 interface 139 interface trapped charge 667 interfacial oxide 124 interferometry 413, 764 inversion layer 40 ion energy 195 ion implantation 278, 744 ion milling 710 ion traps 205 I-V 796, 143 J junction delineation 672 junction depth 695, 758 K Kelvin probe 782 L laser interferometer 705 laser power 409 laser-produced plasma 444 Leakage 796 LEED 186 LEXES 695 lifetime 782 light scatterometry 434 lightpipe radiometer 200 line edge roughness 429 line profile 381 line shape 434 M 504, 300 mask 81, 371, 389 mask cost 63 mass spectrometry 592, 583, 300, 205 materials characterization 81 mechanical behavior 525 MEIS 695 mesa process 3 metal analysis 606 metallic contamination 289 metal-oxide-semiconductor (MOS) 771 metrology model 400 Michelson interferometer 357 microelectromechanical systems (MEMS) microelectronics 494, 499 micro-heterogeneity 238 microroughness 271 micro-scratch 271 microstructure 499 microstructure control 490 missing polysilicon line 278 MOCVD sources 583 modeling 326 molecular contamination 294 Moore’s Law 74 MOSFETs 74 multi-gate devices 107 multi-layer film stacks 233 N nanoelectronics 462 nanoindentation 525 nano-linewidth 400 nanomechanical imaging 343 nanosize 313 nanotechnology 81 native oxide 186 Nd:YAG 271 neutron scattering 567 NEXAFS 439 Ni 176 non-classical CMOS 107 non-contact 802, 160, 744 nondestructive characterization 343 non-destructive metrology 656 462 OIM 469, 494, 499 on-line 764 on-line monitoring 606 open-circuit potential 166 optical 381, 233, 326, 181, 764 organic additives 504 organic analysis 592 organic contaminants 245, 289 organics 300 organosilicate glasses 723 orientation distribution function 469 outgassing 245 overlay 381 oxide masking 40 oxide quality 667 oxide thickness 782 pore size 567, 572, 651 pore size distribution 556 porogen 551, 572 porosimetry 572, 576 porosity 562, 651, 572 porous 546 porous low-κ (see also “low-κ dielectrics”) power device 672 preamorphization implant 758 precision 326 process characterization 205, 611 process chemical 606 process control 490, 504 process development 672, 490 process metrology 289, 434 process monitoring 195 process qualification 289 process tool cleanliness 289 progress 685 pulsed radiometry 444 PVD deposition 519 P Q P diffusion 40 PAG segregation 439 particle 313 particle analysis 289 particle detection 271, 289 partnerships 63 pattern metrology 434 pattern quality 429 phase contrast 480 photo-carrier radiometry 744 photodetector 444 photoemission 139 photomask 389, 396 photoresist scum 294 photoresists 439 photo-thermal radiometry 744 photovoltage 782 physical failure analysis 343 planar process 3 plasma 190, 195 plasma flood gun 278 PMSSQ 551 p-n-p transistor 40 p-n-p-n switch 40 point-contact transistor 40 polarizability 166 pole figure 469 poly-crystalline 228 polyimide 353 polymerization 551 polysilicon 353 polystyrene latex 313 quadrupole non-intrusive 744 n-p-n transistor 40 O 205 R R&D cost 63 radicals 190 radio-frequency 195 Raman 223 Raman spectroscopy 748, 213 rapid thermal processing (RTP) 656, 200 Rayleigh’s equation 271 RBS 695 real-time measurement 504 recrystallization kinetics 485 reference standards 238 reflectance 233 reflectivity 371, 660 reflectometry 660 refractive index 171 residual gas analysis 583 revenue growth 63 root cause analysis 228 roughness 371 run-to-run control 583 S SAXS 546 Sb diffusion 40 SC-1, SC-2 606, 300 scaling 107 556 scanning capacitance microscopy (SCM) 667, 672, 678 scanning electron microscope (SEM) 396, 421 scanning microscopy 619 scanning thermal microscopy 462 scanning thermoelectric microscopy 462 scanning transmission electron microscopy 627, 556 scatterometry 381 SCROD 271 secondary ion mass spectrometry (SIMS) (see also “dynamic SIMS depth profiling”) 455, 695, 223, 551, 294, 228, 710 semiconductor material analysis 715 sensor 764 shallow implants 695 shallow trench isolation 738 sheet resistance 758 shrinks 63 Si optical constants 723 Si oxide 40 Si technology 40 Si transistor 40 Si1-xGex 233 sidewall roughness 429 SiGe 213, 238 SiGe heterojunction bipolar transistor 738 SiGe:C HBT 228 silane pyrolysis 748 silicon deposition 748 silicon dioxide 331 silicon nano-spring 525 silicon oxynitride 171 silicon-germanium technology 238 silicon-on insulator (SOI) 107, 124, 271 SiO2 optical constants 723 SiOC 540 small angle neutron scattering (SANS) 567, 572 sol-gel method 143 solid analysis 715 speciated isotope dilution mass spectrometry (SIDMS) 504 spectral carrier frequency 357 spectral imaging 753 spectrograph 753 spectrometry 660 spectroscopic ellipsometry (SE) 124, 213, 148, 223, 233, 181, 540 spectroscopy 764, 205 sputtering rate 705 SPV 782 SSRM 678, 685 statistical process control 97, 228 stiffness 343 strained Si 213, 223, 107 strained Si channel 738 strained Si CMOS 213 stress 738, 494 submillimeter-wavelength absorption spectroscopy 190 suppressor 514 surface analysis 289, 294 surface chemistry 455, 439 surface roughness 519 surface voltage 782 synchrotron radiation 139 T Ta liner 485 tantalum liner/barrier 519 Tauc-Lorentz dispersion 171 TCA 300 TD-GC-MS 245 temperature calibration 200 temperature measurement 200 texture 469, 494 thermal chemical vapor deposition 748 thermal oxidation 667 thickness 129, 753, 154, 228, 337 thickness measurement 348, 186 thickness profile 357 thin film 97, 753, 357, 143, 331, 646, 448, 651 thin-film thermocouples 200 titanium aluminates 181 trace contamination 592, 300 trace metal analysis 309 transistor alpha 40 transmission electron microscopy (TEM) 223, 228, 176, 556 traps (defects) 40 two-dimensional dopant profiling 672 two-dimensional 678, 685 627, U ULSI metrology 462 ultrasonic force microscopy (UFM) ultrathin SiO2 films 337 ultraviolet 409 uniformity 583, 154 USJ 656 UV-Raman 738 343 V vacuum ultraviolet 148 vacuum ultraviolet spectroscopic ellipsometry 171, 181 723, W wafer cleanliness 289 wafer-surface inspection 271 water vapor 190 wet chemical analysis 289 wet oxidation 667 wide gap thin films 738 X XPS 176, 551 X-ray 660 X-ray diffraction (XRD) (see also “high resolution X-ray diffraction”) 213, 519 X-ray fluorescence (XRF) 646, 660 X-ray imaging 480 X-ray micro-diffraction 469 X-ray microscopy 480 X-ray photoelectron spectroscopy 321, 337 X-ray reflection 148 X-ray reflectivity (XRR) 634, 223, 567, 651, 572, 576, 646, 660 X-ray scattering (see also “high resolution X-ray scattering”) 434 X-ray scattering, small angle 562 X-ray topography 284 Y Young’s modulus 343 Z Z-contrast 627 zirconium dioxide zirconium silicate 321 321
© Copyright 2025 Paperzz